[package - main-i386-default][cad/opentimer] Failed for opentimer-g20210726 in build

From: <pkg-fallout_at_FreeBSD.org>
Date: Sat, 18 Sep 2021 12:08:42 UTC
You are receiving this mail as a port that you maintain
is failing to build on the FreeBSD package build server.
Please investigate the failure and submit a PR to fix
build.

Maintainer:     yuri@FreeBSD.org
Log URL:        http://beefy17.nyi.freebsd.org/data/main-i386-default/p724df9e52627_s007c2463d6/logs/opentimer-g20210726.log
Build URL:      http://beefy17.nyi.freebsd.org/build.html?mastername=main-i386-default&build=p724df9e52627_s007c2463d6
Log:

=>> Building cad/opentimer
build started at Sat Sep 18 12:02:19 UTC 2021
port directory: /usr/ports/cad/opentimer
package name: opentimer-g20210726
building for: FreeBSD main-i386-default-job-01 14.0-CURRENT FreeBSD 14.0-CURRENT 1400033 i386
maintained by: yuri@FreeBSD.org
Makefile ident: 
Poudriere version: 3.2.8-21-g883afb07
Host OSVERSION: 1400008
Jail OSVERSION: 1400033
Job Id: 01




!!! Jail is newer than host. (Jail: 1400033, Host: 1400008) !!!
!!! This is not supported. !!!
!!! Host kernel must be same or newer than jail. !!!
!!! Expect build failures. !!!



---Begin Environment---
SHELL=/bin/csh
UNAME_p=i386
UNAME_m=i386
OSVERSION=1400033
UNAME_v=FreeBSD 14.0-CURRENT 1400033
UNAME_r=14.0-CURRENT
BLOCKSIZE=K
MAIL=/var/mail/root
MM_CHARSET=UTF-8
LANG=C.UTF-8
STATUS=1
HOME=/root
PATH=/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin
LOCALBASE=/usr/local
USER=root
LIBEXECPREFIX=/usr/local/libexec/poudriere
POUDRIERE_VERSION=3.2.8-21-g883afb07
MASTERMNT=/usr/local/poudriere/data/.m/main-i386-default/ref
POUDRIERE_BUILD_TYPE=bulk
PACKAGE_BUILDING=yes
SAVED_TERM=
PWD=/usr/local/poudriere/data/.m/main-i386-default/ref/.p/pool
P_PORTS_FEATURES=FLAVORS SELECTED_OPTIONS
MASTERNAME=main-i386-default
SCRIPTPREFIX=/usr/local/share/poudriere
OLDPWD=/usr/local/poudriere/data/.m/main-i386-default/ref/.p
SCRIPTPATH=/usr/local/share/poudriere/bulk.sh
POUDRIEREPATH=/usr/local/bin/poudriere
---End Environment---

---Begin Poudriere Port Flags/Env---
PORT_FLAGS=
PKGENV=
FLAVOR=
DEPENDS_ARGS=
MAKE_ARGS=
---End Poudriere Port Flags/Env---

---Begin OPTIONS List---
---End OPTIONS List---

--MAINTAINER--
yuri@FreeBSD.org
--End MAINTAINER--

--CONFIGURE_ARGS--

--End CONFIGURE_ARGS--

--CONFIGURE_ENV--
PYTHON="/usr/local/bin/python3.8" XDG_DATA_HOME=/wrkdirs/usr/ports/cad/opentimer/work  XDG_CONFIG_HOME=/wrkdirs/usr/ports/cad/opentimer/work  HOME=/wrkdirs/usr/ports/cad/opentimer/work TMPDIR="/tmp" PATH=/wrkdirs/usr/ports/cad/opentimer/work/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin SHELL=/bin/sh CONFIG_SHELL=/bin/sh
--End CONFIGURE_ENV--

--MAKE_ENV--
NINJA_STATUS="[%p %s/%t] " XDG_DATA_HOME=/wrkdirs/usr/ports/cad/opentimer/work  XDG_CONFIG_HOME=/wrkdirs/usr/ports/cad/opentimer/work  HOME=/wrkdirs/usr/ports/cad/opentimer/work TMPDIR="/tmp" PATH=/wrkdirs/usr/ports/cad/opentimer/work/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin NO_PIE=yes MK_DEBUG_FILES=no MK_KERNEL_SYMBOLS=no SHELL=/bin/sh NO_LINT=YES ADDR2LINE="/usr/local/bin/addr2line" AR="/usr/local/bin/ar" AS="/usr/local/bin/as" CPPFILT="/usr/local/bin/c++filt" GPROF="/usr/local/bin/gprof" LD="/usr/local/bin/ld" NM="/usr/local/bin/nm" OBJCOPY="/usr/local/bin/objcopy" OBJDUMP="/usr/local/bin/objdump" RANLIB="/usr/local/bin/ranlib" READELF="/usr/local/bin/readelf" SIZE="/usr/local/bin/size" STRINGS="/usr/local/bin/strings" DESTDIR=/wrkdirs/usr/ports/cad/opentimer/work/stage PREFIX=/usr/local  LOCALBASE=/usr/local  CC="gcc10" CFLAGS="-O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -fno-strict-aliasing "  CPP="cpp10" CPPFLAGS=""  L
 DFLAGS=" -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -L/usr/local/lib/gcc10 " LIBS=""  CXX="g++10" CXXFLAGS="-O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 "  MANPREFIX="/usr/local" BSD_INSTALL_PROGRAM="install  -s -m 555"  BSD_INSTALL_LIB="install  -s -m 0644"  BSD_INSTALL_SCRIPT="install  -m 555"  BSD_INSTALL_DATA="install  -m 0644"  BSD_INSTALL_MAN="install  -m 444"
--End MAKE_ENV--

--PLIST_SUB--
CMAKE_BUILD_TYPE="release" PYTHON_INCLUDEDIR=include/python3.8  PYTHON_LIBDIR=lib/python3.8  PYTHON_PLATFORM=freebsd14  PYTHON_SITELIBDIR=lib/python3.8/site-packages  PYTHON_SUFFIX=38  PYTHON_EXT_SUFFIX=.cpython-38  PYTHON_VER=3.8  PYTHON_VERSION=python3.8 PYTHON2="@comment " PYTHON3="" OSREL=14.0 PREFIX=%D LOCALBASE=/usr/local  RESETPREFIX=/usr/local LIB32DIR=lib DOCSDIR="share/doc/opentimer"  EXAMPLESDIR="share/examples/opentimer"  DATADIR="share/opentimer"  WWWDIR="www/opentimer"  ETCDIR="etc/opentimer"
--End PLIST_SUB--

--SUB_LIST--
PREFIX=/usr/local LOCALBASE=/usr/local  DATADIR=/usr/local/share/opentimer DOCSDIR=/usr/local/share/doc/opentimer EXAMPLESDIR=/usr/local/share/examples/opentimer  WWWDIR=/usr/local/www/opentimer ETCDIR=/usr/local/etc/opentimer
--End SUB_LIST--

---Begin make.conf---
USE_PACKAGE_DEPENDS=yes
BATCH=yes
WRKDIRPREFIX=/wrkdirs
PORTSDIR=/usr/ports
PACKAGES=/packages
DISTDIR=/distfiles
PACKAGE_BUILDING=yes
PACKAGE_BUILDING_FLAVORS=yes
MACHINE=i386
MACHINE_ARCH=i386
ARCH=${MACHINE_ARCH}
#### /usr/local/etc/poudriere.d/make.conf ####
# XXX: We really need this but cannot use it while 'make checksum' does not
# try the next mirror on checksum failure.  It currently retries the same
# failed mirror and then fails rather then trying another.  It *does*
# try the next if the size is mismatched though.
#MASTER_SITE_FREEBSD=yes
# Build ALLOW_MAKE_JOBS_PACKAGES with 2 jobs
MAKE_JOBS_NUMBER=2
#### /usr/ports/Mk/Scripts/ports_env.sh ####
_CCVERSION_921dbbb2=FreeBSD clang version 12.0.1 (git@github.com:llvm/llvm-project.git llvmorg-12.0.1-0-gfed41342a82f) Target: i386-unknown-freebsd14.0 Thread model: posix InstalledDir: /usr/bin
_ALTCCVERSION_921dbbb2=none
_CXXINTERNAL_acaad9ca=FreeBSD clang version 12.0.1 (git@github.com:llvm/llvm-project.git llvmorg-12.0.1-0-gfed41342a82f) Target: i386-unknown-freebsd14.0 Thread model: posix InstalledDir: /usr/bin "/usr/bin/ld" "--eh-frame-hdr" "-dynamic-linker" "/libexec/ld-elf.so.1" "--hash-style=both" "--enable-new-dtags" "-m" "elf_i386_fbsd" "-o" "a.out" "/usr/lib/crt1.o" "/usr/lib/crti.o" "/usr/lib/crtbegin.o" "-L/usr/lib" "/dev/null" "-lc++" "-lm" "-lgcc" "--as-needed" "-lgcc_s" "--no-as-needed" "-lc" "-lgcc" "--as-needed" "-lgcc_s" "--no-as-needed" "/usr/lib/crtend.o" "/usr/lib/crtn.o"
CC_OUTPUT_921dbbb2_58173849=yes
CC_OUTPUT_921dbbb2_9bdba57c=yes
CC_OUTPUT_921dbbb2_6a4fe7f5=yes
CC_OUTPUT_921dbbb2_6bcac02b=yes
CC_OUTPUT_921dbbb2_67d20829=yes
CC_OUTPUT_921dbbb2_bfa62e83=yes
CC_OUTPUT_921dbbb2_f0b4d593=yes
CC_OUTPUT_921dbbb2_308abb44=yes
CC_OUTPUT_921dbbb2_f00456e5=yes
CC_OUTPUT_921dbbb2_65ad290d=yes
CC_OUTPUT_921dbbb2_f2776b26=yes
CC_OUTPUT_921dbbb2_b2657cc3=yes
CC_OUTPUT_921dbbb2_380987f7=yes
CC_OUTPUT_921dbbb2_160933ec=yes
CC_OUTPUT_921dbbb2_fb62803b=yes
_OBJC_CCVERSION_921dbbb2=FreeBSD clang version 12.0.1 (git@github.com:llvm/llvm-project.git llvmorg-12.0.1-0-gfed41342a82f) Target: i386-unknown-freebsd14.0 Thread model: posix InstalledDir: /usr/bin
_OBJC_ALTCCVERSION_921dbbb2=none
ARCH=i386
OPSYS=FreeBSD
_OSRELEASE=14.0-CURRENT
OSREL=14.0
OSVERSION=1400033
PYTHONBASE=/usr/local
CONFIGURE_MAX_CMD_LEN=524288
HAVE_PORTS_ENV=1
#### Misc Poudriere ####
GID=0
UID=0
---End make.conf---
--Resource limits--
cpu time               (seconds, -t)  unlimited
file size           (512-blocks, -f)  unlimited
data seg size           (kbytes, -d)  524288
stack size              (kbytes, -s)  65536
core file size      (512-blocks, -c)  unlimited
max memory size         (kbytes, -m)  unlimited
locked memory           (kbytes, -l)  unlimited
max user processes              (-u)  89999
open files                      (-n)  1024
virtual mem size        (kbytes, -v)  unlimited
swap limit              (kbytes, -w)  unlimited
socket buffer size       (bytes, -b)  unlimited
pseudo-terminals                (-p)  unlimited
kqueues                         (-k)  unlimited
umtx shared locks               (-o)  unlimited
--End resource limits--
=======================<phase: check-sanity   >============================
===>  License MIT accepted by the user
===========================================================================
=======================<phase: pkg-depends    >============================
===>   opentimer-g20210726 depends on file: /usr/local/sbin/pkg - not found
===>   Installing existing package /packages/All/pkg-1.17.1.pkg
[main-i386-default-job-01] Installing pkg-1.17.1...
[main-i386-default-job-01] Extracting pkg-1.17.1: .......... done
===>   opentimer-g20210726 depends on file: /usr/local/sbin/pkg - found
===>   Returning to build of opentimer-g20210726
===========================================================================
=======================<phase: fetch-depends  >============================
===========================================================================
=======================<phase: fetch          >============================
===>  License MIT accepted by the user
===> Fetching all distfiles required by opentimer-g20210726 for building
===========================================================================
=======================<phase: checksum       >============================
===>  License MIT accepted by the user
===> Fetching all distfiles required by opentimer-g20210726 for building
=> SHA256 Checksum OK for OpenTimer-OpenTimer-g20210726-18d28ff_GH0.tar.gz.
===========================================================================
=======================<phase: extract-depends>============================
===========================================================================
=======================<phase: extract        >============================
===>  License MIT accepted by the user
===> Fetching all distfiles required by opentimer-g20210726 for building
===>  Extracting for opentimer-g20210726
=> SHA256 Checksum OK for OpenTimer-OpenTimer-g20210726-18d28ff_GH0.tar.gz.
===========================================================================
=======================<phase: patch-depends  >============================
===========================================================================
=======================<phase: patch          >============================
===>  Patching for opentimer-g20210726
===>  Applying FreeBSD patches for opentimer-g20210726 from /usr/ports/cad/opentimer/files
===========================================================================
=======================<phase: build-depends  >============================
===>   opentimer-g20210726 depends on file: /usr/local/bin/cmake - not found
===>   Installing existing package /packages/All/cmake-3.21.0.pkg
[main-i386-default-job-01] Installing cmake-3.21.0...
[main-i386-default-job-01] `-- Installing curl-7.78.0...
<snip>
either an empty file or your site-local certificate bundle.

  * /etc/ssl/cert.pem
  * /usr/local/etc/ssl/cert.pem
  * /usr/local/openssl/cert.pem
===>   opentimer-g20210726 depends on file: /usr/local/bin/cmake - found
===>   Returning to build of opentimer-g20210726
===>   opentimer-g20210726 depends on executable: ninja - not found
===>   Installing existing package /packages/All/ninja-1.10.2,2.pkg
[main-i386-default-job-01] Installing ninja-1.10.2,2...
[main-i386-default-job-01] `-- Installing python38-3.8.12...
[main-i386-default-job-01] |   `-- Installing libffi-3.3_1...
[main-i386-default-job-01] |   `-- Extracting libffi-3.3_1: .......... done
[main-i386-default-job-01] |   `-- Installing mpdecimal-2.5.1...
[main-i386-default-job-01] |   `-- Extracting mpdecimal-2.5.1: .......... done
[main-i386-default-job-01] |   `-- Installing readline-8.1.1...
[main-i386-default-job-01] |   `-- Extracting readline-8.1.1: .......... done
[main-i386-default-job-01] `-- Extracting python38-3.8.12: .......... done
[main-i386-default-job-01] Extracting ninja-1.10.2,2: ........ done
=====
Message from python38-3.8.12:

--
Note that some standard Python modules are provided as separate ports
as they require additional dependencies. They are available as:

py38-gdbm       databases/py-gdbm@py38
py38-sqlite3    databases/py-sqlite3@py38
py38-tkinter    x11-toolkits/py-tkinter@py38
===>   opentimer-g20210726 depends on executable: ninja - found
===>   Returning to build of opentimer-g20210726
===>   opentimer-g20210726 depends on executable: gcc10 - not found
===>   Installing existing package /packages/All/gcc10-10.3.0.pkg
[main-i386-default-job-01] Installing gcc10-10.3.0...
[main-i386-default-job-01] `-- Installing binutils-2.37_1,1...
[main-i386-default-job-01] `-- Extracting binutils-2.37_1,1: .......... done
[main-i386-default-job-01] `-- Installing gmp-6.2.1...
[main-i386-default-job-01] `-- Extracting gmp-6.2.1: .......... done
[main-i386-default-job-01] `-- Installing mpc-1.2.1...
[main-i386-default-job-01] |   `-- Installing mpfr-4.1.0_1...
[main-i386-default-job-01] |   `-- Extracting mpfr-4.1.0_1: .......... done
[main-i386-default-job-01] `-- Extracting mpc-1.2.1: ......... done
[main-i386-default-job-01] Extracting gcc10-10.3.0: .......... done
=====
Message from gcc10-10.3.0:

--
To ensure binaries built with this toolchain find appropriate versions
of the necessary run-time libraries, you may want to link using

  -Wl,-rpath=/usr/local/lib/gcc10

For ports leveraging USE_GCC, USES=compiler, or USES=fortran this happens
transparently.
===>   opentimer-g20210726 depends on executable: gcc10 - found
===>   Returning to build of opentimer-g20210726
===>   opentimer-g20210726 depends on file: /usr/local/bin/as - found
===========================================================================
=======================<phase: lib-depends    >============================
===>   opentimer-g20210726 depends on shared library: libtcl86.so - not found
===>   Installing existing package /packages/All/tcl86-8.6.11_2.pkg
[main-i386-default-job-01] Installing tcl86-8.6.11_2...
[main-i386-default-job-01] Extracting tcl86-8.6.11_2: .......... done
===>   opentimer-g20210726 depends on shared library: libtcl86.so - found (/usr/local/lib/libtcl86.so)
===>   Returning to build of opentimer-g20210726
===========================================================================
=======================<phase: configure      >============================
===>  Configuring for opentimer-g20210726
===>  Performing out-of-source build
/bin/mkdir -p /wrkdirs/usr/ports/cad/opentimer/work/.build
-- CMAKE_ROOT: /usr/local/share/cmake
-- The C compiler identification is GNU 10.3.0
-- The CXX compiler identification is GNU 10.3.0
-- Detecting C compiler ABI info
-- Detecting C compiler ABI info - done
-- Check for working C compiler: /usr/local/bin/gcc10 - skipped
-- Detecting C compile features
-- Detecting C compile features - done
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Check for working CXX compiler: /usr/local/bin/g++10 - skipped
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- CMAKE_HOST_SYSTEM: FreeBSD-14.0-CURRENT
-- CMAKE_CXX_COMPILER_VERSION: 10.3.0
-- CMAKE_BUILD_TYPE: Release
-- CMAKE_SYSTEM_NAME: FreeBSD
-- CMAKE_CXX_COMPILER: /usr/local/bin/g++10
-- CMAKE_CXX_FLAGS: -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10
-- CMAKE_CXX_FLAGS_DEBUG: -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10
-- CMAKE_CXX_FLAGS_RELEASE: -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10
-- CMAKE_CXX_FLAGS_RELWITHDEBINFO: -O2 -g -DNDEBUG
-- CMAKE_CXX_FLAGS_MINSIZEREL: -Os -DNDEBUG
-- CMAKE_EXE_LINKER_FLAGS:  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -L/usr/local/lib/gcc10
-- CMAKE_INSTALL_PREFIX: /usr/local
-- CMAKE_MODULE_PATH: 
-- OT_VERSION: 2.1.0
-- OT_HOME: /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff
-- OT_BENCHMARK_DIR: /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/benchmark
-- OT_UNITTEST_DIR: /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/unittest
-- OT_LICENSE: /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/LICENSE
-- CMAKE_ARCHIVE_OUTPUT_DIRECTORY: /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/lib
-- PYTHON_EXECUTABLE: PYTHON_EXECUTABLE-NOTFOUND
-- Looking for pthread.h
-- Looking for pthread.h - found
-- Found Threads: TRUE  
-- Found Tclsh: /usr/local/bin/tclsh8.6 (found version "8.6") 
-- Building executables ...
-- OT_LINK_FLAGS: OpenTimer;Threads::Threads;stdc++fs
-- TAU15 executable: /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/bin/ot-tau15
-- TAU18 executable: /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/bin/ot-tau18
-- Utility executable: /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/bin/ot-utility
-- OpenTimer shell: /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/bin/ot-shell
-- Building unit tests ...
-- Building TAU15 integration tests ...
-- Building Shell integration tests ...
-- Configuring done
-- Generating done
CMake Warning:
  Manually-specified variables were not used by the project:

    BOOST_PYTHON_SUFFIX
    CMAKE_COLOR_MAKEFILE
    CMAKE_C_FLAGS_RELEASE
    CMAKE_MODULE_LINKER_FLAGS
    CMAKE_SHARED_LINKER_FLAGS
    Python_ADDITIONAL_VERSIONS


-- Build files have been written to: /wrkdirs/usr/ports/cad/opentimer/work/.build
===========================================================================
=======================<phase: build          >============================
===>  Building for opentimer-g20210726
[  1% 2/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -pthread -std=c++17 -MD -MT CMakeFiles/incremental.dir/example/incremental/incremental.cpp.o -MF CMakeFiles/incremental.dir/example/incremental/incremental.cpp.o.d -o CMakeFiles/incremental.dir/example/incremental/incremental.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/example/incremental/incremental.cpp
[  3% 3/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -pthread -std=c++17 -MD -MT CMakeFiles/path.dir/unittest/path.cpp.o -MF CMakeFiles/path.dir/unittest/path.cpp.o.d -o CMakeFiles/path.dir/unittest/path.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/unittest/path.cpp
[  4% 4/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -pthread -std=c++17 -MD -MT CMakeFiles/ot-utility.dir/main/utility/utility.cpp.o -MF CMakeFiles/ot-utility.dir/main/utility/utility.cpp.o.d -o CMakeFiles/ot-utility.dir/main/utility/utility.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/main/utility/utility.cpp
[  6% 5/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -pthread -std=c++17 -MD -MT CMakeFiles/unit.dir/example/unit/unit.cpp.o -MF CMakeFiles/unit.dir/example/unit/unit.cpp.o.d -o CMakeFiles/unit.dir/example/unit/unit.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/example/unit/unit.cpp
[  7% 6/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -pthread -std=c++17 -MD -MT CMakeFiles/ot-tau15.dir/main/tau15/tau15.cpp.o -MF CMakeFiles/ot-tau15.dir/main/tau15/tau15.cpp.o.d -o CMakeFiles/ot-tau15.dir/main/tau15/tau15.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/main/tau15/tau15.cpp
[  9% 7/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -pthread -std=c++17 -MD -MT CMakeFiles/ot-tau18.dir/main/tau18/tau18.cpp.o -MF CMakeFiles/ot-tau18.dir/main/tau18/tau18.cpp.o.d -o CMakeFiles/ot-tau18.dir/main/tau18/tau18.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/main/tau18/tau18.cpp
[ 11% 8/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/unit/unit.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/unit/unit.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/unit/unit.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/unit/unit.cpp
[ 12% 9/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/shell/misc.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/shell/misc.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/shell/misc.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/shell/misc.cpp
[ 14% 10/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/shell/obselete.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/shell/obselete.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/shell/obselete.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/shell/obselete.cpp
[ 15% 11/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/shell/builder.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/shell/builder.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/shell/builder.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/shell/builder.cpp
[ 17% 12/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/shell/action.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/shell/action.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/shell/action.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/shell/action.cpp
[ 19% 13/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/timer.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/timer.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/timer.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/timer.cpp
[ 20% 14/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/shell/shell.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/shell/shell.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/shell/shell.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/shell/shell.cpp
[ 22% 15/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/shell/dump.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/shell/dump.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/shell/dump.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/shell/dump.cpp
[ 23% 16/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/scc.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/scc.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/scc.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/scc.cpp
[ 25% 17/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/arc.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/arc.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/arc.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/arc.cpp
[ 26% 18/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/celllib.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/celllib.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/celllib.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/celllib.cpp
[ 28% 19/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/test.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/test.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/test.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/test.cpp
[ 30% 20/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/clock.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/clock.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/clock.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/clock.cpp
[ 31% 21/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/sdc.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/sdc.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/sdc.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/sdc.cpp
[ 33% 22/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/endpoint.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/endpoint.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/endpoint.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/endpoint.cpp
[ 34% 23/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/net.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/net.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/net.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/net.cpp
[ 36% 24/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/sfxt.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/sfxt.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/sfxt.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/sfxt.cpp
[ 38% 25/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/pfxt.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/pfxt.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/pfxt.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/pfxt.cpp
[ 39% 26/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/unit.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/unit.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/unit.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/unit.cpp
[ 41% 27/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/path.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/path.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/path.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/path.cpp
[ 42% 28/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/spef.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/spef.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/spef.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/spef.cpp
[ 44% 29/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/cppr.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/cppr.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/cppr.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/cppr.cpp
[ 46% 30/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/verilog.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/verilog.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/verilog.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/verilog.cpp
[ 47% 31/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/gate.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/gate.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/gate.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/gate.cpp
[ 49% 32/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/dump.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/dump.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/dump.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/dump.cpp
[ 50% 33/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/timer/pin.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/timer/pin.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/timer/pin.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/timer/pin.cpp
[ 52% 34/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/liberty/cell.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/liberty/cell.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/liberty/cell.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/liberty/cell.cpp
[ 53% 35/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/liberty/celllib.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/liberty/celllib.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/liberty/celllib.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/liberty/celllib.cpp
[ 55% 36/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/liberty/cellpin.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/liberty/cellpin.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/liberty/cellpin.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/liberty/cellpin.cpp
[ 57% 37/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/liberty/lut.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/liberty/lut.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/liberty/lut.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/liberty/lut.cpp
[ 58% 38/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/liberty/timing.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/liberty/timing.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/liberty/timing.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/liberty/timing.cpp
[ 60% 39/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/liberty/power.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/liberty/power.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/liberty/power.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/liberty/power.cpp
[ 61% 40/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/verilog/verilog.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/verilog/verilog.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/verilog/verilog.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/verilog/verilog.cpp
[ 63% 41/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/sdc/tokenize.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/sdc/tokenize.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/sdc/tokenize.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/sdc/tokenize.cpp
[ 65% 42/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/sdc/object.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/sdc/object.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/sdc/object.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/sdc/object.cpp
[ 66% 43/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/sdc/sdc.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/sdc/sdc.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/sdc/sdc.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/sdc/sdc.cpp
[ 68% 44/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/utility/os.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/utility/os.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/utility/os.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/utility/os.cpp
[ 69% 45/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/utility/tokenizer.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/utility/tokenizer.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/utility/tokenizer.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/utility/tokenizer.cpp
[ 71% 46/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/tau/tau15.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/tau/tau15.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/tau/tau15.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/tau/tau15.cpp
[ 73% 47/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -std=c++17 -MD -MT CMakeFiles/OpenTimer.dir/ot/spef/spef.cpp.o -MF CMakeFiles/OpenTimer.dir/ot/spef/spef.cpp.o.d -o CMakeFiles/OpenTimer.dir/ot/spef/spef.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/ot/spef/spef.cpp
[ 74% 48/63] : && /usr/local/bin/cmake -E rm -f /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/lib/libOpenTimer.a && /usr/local/bin/ar qc /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/lib/libOpenTimer.a  CMakeFiles/OpenTimer.dir/ot/unit/unit.cpp.o CMakeFiles/OpenTimer.dir/ot/shell/misc.cpp.o CMakeFiles/OpenTimer.dir/ot/shell/obselete.cpp.o CMakeFiles/OpenTimer.dir/ot/shell/builder.cpp.o CMakeFiles/OpenTimer.dir/ot/shell/action.cpp.o CMakeFiles/OpenTimer.dir/ot/shell/shell.cpp.o CMakeFiles/OpenTimer.dir/ot/shell/dump.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/scc.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/arc.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/celllib.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/test.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/timer.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/clock.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/sdc.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/endpoint.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/net.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/sfxt.cp
 p.o CMakeFiles/OpenTimer.dir/ot/timer/pfxt.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/unit.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/path.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/spef.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/cppr.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/verilog.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/gate.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/dump.cpp.o CMakeFiles/OpenTimer.dir/ot/timer/pin.cpp.o CMakeFiles/OpenTimer.dir/ot/liberty/celllib.cpp.o CMakeFiles/OpenTimer.dir/ot/liberty/cell.cpp.o CMakeFiles/OpenTimer.dir/ot/liberty/cellpin.cpp.o CMakeFiles/OpenTimer.dir/ot/liberty/lut.cpp.o CMakeFiles/OpenTimer.dir/ot/liberty/timing.cpp.o CMakeFiles/OpenTimer.dir/ot/liberty/power.cpp.o CMakeFiles/OpenTimer.dir/ot/verilog/verilog.cpp.o CMakeFiles/OpenTimer.dir/ot/sdc/tokenize.cpp.o CMakeFiles/OpenTimer.dir/ot/sdc/object.cpp.o CMakeFiles/OpenTimer.dir/ot/sdc/sdc.cpp.o CMakeFiles/OpenTimer.dir/ot/tau/tau15.cpp.o CMakeFiles/OpenTimer.dir/ot/utility/os.cpp.o CMakeFiles/OpenTimer.di
 r/ot/utility/tokenizer.cpp.o CMakeFiles/Open!
 Timer.dir/ot/spef/spef.cpp.o && /usr/local/bin/ranlib /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/lib/libOpenTimer.a && :
[ 76% 49/63] : && /usr/local/bin/g++10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -L/usr/local/lib/gcc10 CMakeFiles/path.dir/unittest/path.cpp.o -o /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/unittest/path  /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/lib/libOpenTimer.a  -lstdc++fs  -pthread && :
FAILED: /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/unittest/path 
: && /usr/local/bin/g++10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10 -L/usr/local/lib/gcc10 CMakeFiles/path.dir/unittest/path.cpp.o -o /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/unittest/path  /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/lib/libOpenTimer.a  -lstdc++fs  -pthread && :
/usr/local/bin/ld: /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/lib/libOpenTimer.a(path.cpp.o): in function `tf::Notifier::notify(bool)':
path.cpp:(.text._ZN2tf8Notifier6notifyEb[_ZN2tf8Notifier6notifyEb]+0x28): undefined reference to `__atomic_load_8'
/usr/local/bin/ld: path.cpp:(.text._ZN2tf8Notifier6notifyEb[_ZN2tf8Notifier6notifyEb]+0x78): undefined reference to `__atomic_compare_exchange_8'
/usr/local/bin/ld: /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/lib/libOpenTimer.a(path.cpp.o): in function `void tf::WorkStealingQueue<tf::Node*>::push<tf::Node*&>(tf::Node*&)':
path.cpp:(.text._ZN2tf17WorkStealingQueueIPNS_4NodeEE4pushIRS2_EEvOT_[_ZN2tf17WorkStealingQueueIPNS_4NodeEE4pushIRS2_EEvOT_]+0x29): undefined reference to `__atomic_load_8'
/usr/local/bin/ld: path.cpp:(.text._ZN2tf17WorkStealingQueueIPNS_4NodeEE4pushIRS2_EEvOT_[_ZN2tf17WorkStealingQueueIPNS_4NodeEE4pushIRS2_EEvOT_]+0x3c): undefined reference to `__atomic_load_8'
/usr/local/bin/ld: path.cpp:(.text._ZN2tf17WorkStealingQueueIPNS_4NodeEE4pushIRS2_EEvOT_[_ZN2tf17WorkStealingQueueIPNS_4NodeEE4pushIRS2_EEvOT_]+0x8d): undefined reference to `__atomic_store_8'
collect2: error: ld returned 1 exit status
[ 77% 49/63] /usr/local/bin/g++10  -I/wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -O2 -pipe  -fstack-protector-strong -Wl,-rpath=/usr/local/lib/gcc10  -Wl,-rpath=/usr/local/lib/gcc10 -pthread -std=c++17 -MD -MT CMakeFiles/simple.dir/example/simple/simple.cpp.o -MF CMakeFiles/simple.dir/example/simple/simple.cpp.o.d -o CMakeFiles/simple.dir/example/simple/simple.cpp.o -c /wrkdirs/usr/ports/cad/opentimer/work/OpenTimer-18d28ff/example/simple/simple.cpp
ninja: build stopped: subcommand failed.
===> Compilation failed unexpectedly.
Try to set MAKE_JOBS_UNSAFE=yes and rebuild before reporting the failure to
the maintainer.
*** Error code 1

Stop.
make: stopped in /usr/ports/cad/opentimer